欢迎光临专业集成电路测试网~~欢迎加入IC测试QQ群:111938408

专业IC测试网

当前位置: 网站主页 > 相关技术 > 芯片制造 >

【芯片DFT】全面了解DFT技术:如何测试一颗芯片

时间:2023-12-21 20:33来源:Hcoco TrustZone 作者:ictest8_edit 点击:


Perface


Hi!这是一篇关于DFT普适性的文章,尝试去站在理论的角度,去看一下DFT技术是如何测试一颗芯片。

学习内容的图片来自于油管上的一个视频,是一个做培训的,访问评论区的网站已经失效了,在这里感激视频作者分享的视频。

❞算是一篇简单的学习笔记,内容东西讲述不甚清晰,极其粗泛。
但是我想呈现给你的是学习DFT:「一个完整的,成体系的学习路线,学习框架。」
你需要的是能自主查询资料,潜心学习,搞懂文章的每个知识点,那么根据这篇文章,你就能延伸出几十篇笔记。

自主找资料去解决的疑惑真的会比别人直接告诉你结果来的更加有映像。

IC行业,务必不要排斥英语!!!



概览篇




大纲


o 芯片生产过程所引入的问题
o 什么是DFT?为什么要做DFT?
o 测试结果的评价
o SOC的DFT策略以及全芯片测试的内容
o DFT在整个IC设计中的位置
o DFT的流程以及每步做的事情

芯片生产过程中引入的问题


制程缺陷-物理瑕疵


 


制程以外的缺陷


 


「一个非门的版图中常见的fail」


 


什么是DFT?为什么要做DFT?


Testing


 

ATE详情可阅读【芯片测试:万字长文一起聊聊IC测试机-ATE】

DFT

 


测试阶段


 


测试结果的评价


 


Fault Coverage


 

体现了DFT的质量

 


SOC的DFT策略以及全芯片测试的内容


SOC的DFT策略


SOC ( System on Chip)是在同一块芯片中集成了CPU、各种存储器、总线系统、专用模块以及多种l/O接口的系统级超大规模集成电路。

由于SOC芯片的规模比较大、内部模块的类型以及来源多样,因此SOC芯片的DFT面临着诸多问题。


SOC涉及到的测试问题


 


SOC的全面测试


 

谁的风险高就先测谁,DC一般都是第一。

DC test-DC参数测试


 


基于SCAN的测试


 


BIST-内建自测试


 


BIST的种类与应用


 


LogicBIST技术的优缺点


 


Boundary Scan—JTAG


 

进一步详阅:【DFX】万字长文带你搞懂JTAG的门门道道

 

三种不同的协议,不同的功能,不同支持。

Boundary Scan  芯片与PAD之间连通性。FT

function pattern

ESD test

ETC.


DFT在整个IC设计中的位置




DFT与左边四个都会有不同程度的涉及。

大多数会把DFT放到Flow里面。


DFT的流程以及每步做的事情


A DFT reference flow


The sequence of each DFT steps can be changed

 


Test ltems—理论基础与工具实现


 

高亮的内容是DFT需要重点关注的。

Scan Based Test





Scan element

还有基于latch,但是非主流

 


Fault model VS. Defect


 


Stuck-at Fault———用于低速测试


 


At-Speed Fault———用于在速测试


 


Transition Delay Fault Model


 


Path Delay model


 


D算法


 


How Scan Test works


 


这是一个pattern

Transition Launch Mode


 


Full scan & Partial scan


 


ATSPEED TEST & OCC


 


Scan chain synthesis flow——综合以后加入


 


Compression


 

减少测试时间

Multi-power DFT

 

功耗

FUNC下可能会多个电压阈

但是DFT下面一般都是单个

大芯片多个电路全电压说不定会烧测试几台:极少

ATPG-Automatic Test Pattern Generation


 


ATPG Focus


 


MBIST——综合之前或之后


 


Compressor for ROM


 


Deal with shadow logic


 


High Speed Core MBIST


 


LBIST


 


Boundary Scan


 


oundary scan architecture


 


Boundary Scan flow-综合之前或之后


 


TAP Ports Hookup Pin (After Synthesis)


 


IDDQ


 


IDDQ defect


 


Powerfault IDDQ


 


Points to be considered related to DFT


 



实战篇




前面都是理论,后续的深入,请各位感兴趣的自行去进一步的深入,我已经将完整的课程表单放在了这里。

Scan Chain的原理与实现


o 详细讲解基于Scan测试的各个细节,Scan Chain的实现。
o Pattern压缩的原理与实现。
o 测试违反的初步分析与处理,测试覆盖率的预估
o OCC的原理与实现
o 相关工具:DFT Compiler,DFTAdvisor,TestKompress


Scan Chain ATPG的原理与实现


o Scan Chain违反的分析与处理
o ATPG的实现流程
o ATPG的低功耗考虑及动态IRDROP
o 分别讲述stuck-at、at-speed、 iddq等pattern的原理与产生
o 如何使测试覆盖率达到目标
o 相关工具:Tetramax,Fastscan,TestKompress


Memory BIST与Logic BIST的原理与实现


o 详细讲解Memory BIST的原理与实现
o 基于mbistarchitect的MBIST流程
o 基于Tessent Memory BIST的MBIST流程
o shadow logic的scan考虑
o share bus技术与实现
o 可修复技术的原理与实现
o 简要介绍Logic BIST原理与实现


boundary scan的原理与实现


o 详细讲解boundary scan的协议、原理
o 基于BSD Compiler的boundary scan实现
o 基于BSD Compiler的boundary scan pattern产生


测试模式下的timing以及flow考虑


o timing constraint基础
o 各测试模式下timing的特点与注意事项
o timing constraint的合并
o formal check的DFT考虑
o multi voltage设计的DFT考虑


测试pattern的仿真验延


o 仿真验证基础
o 工具使用,前仿、后仿
o memorybist的仿真验证
o scan pattern的仿真验证
o boundary scan pattern的仿真验证


机台测试与问题诊断


o ATE原理,load board准备。
o CP、FT与burn-in测试等
o 问题处理与Diagnosis流程
o DC测试、Shamoo测试、ESD测试与设计考虑
顶一下
(0)
0%
踩一下
(0)
0%
------分隔线----------------------------
发表评论
请自觉遵守互联网相关的政策法规,严禁发布色情、暴力、反动的言论。
评价:
用户名: 验证码: 点击我更换图片