欢迎光临专业集成电路测试网~~欢迎加入IC测试QQ群:111938408

专业IC测试网

当前位置: 网站主页 > 测试工程 >

芯片测试中的Shmoo Plot:揭开神秘面纱

时间:2024-08-02 21:02来源:功烨 芯爵ChipLord 作者:ictest8_edit 点击:

引言


你是否曾听说过芯片测试中的Shmoo Plot?这个名字听起来像是漫画中的角色,但在芯片测试中,它可是位名副其实的超级英雄。今天,我们就一起探讨一下Shmoo Plot的奥秘,看看它如何在芯片测试中大显身手。


Shmoo Plot是什么?

Shmoo Plot是一种二维图表,用于显示芯片在不同条件下的性能表现。它通常用来表示电压和频率等参数的变化对芯片功能的影响。名字来源于《Li'l Abner》中的虚构生物Shmoo,因为这种图表的形状与Shmoo类似。

 


Shmoo Plot的工作原理


Shmoo Plot通过对两个变量(如电压和频率)进行扫描,并将结果显示在二维坐标系中。这种图表可以直观展示芯片在不同条件下的工作情况,为工程师提供宝贵的调试信息。Shmoo被证明是一种高效debug ATE测试的手段,例如面临hold violation的时候,查看ATE log很难找到原因,但是通过Shmoo图可以很快发现问题。

 


Shmoo Plot的类型


1. 正常Shmoo(Normal Shmoo):显示芯片在不同电压和频率下的工作情况,绿色表示通过,红色表示失败。如下图所示,X轴向右移动时,频率增加,Y轴向上电压增加。

 

2.砖墙Shmoo(Brick Wall Shmoo):显示芯片初始化问题,可能是未定义的寄存器重置值引起的,描述芯片双稳态初始化问题,主要发生在第一次或第二次初始化是随机的情况下。

 

3.墙Shmoo(Wall Shmoo):显示在某电压下的故障,与频率无关,常见于噪声耦合等问题。

 

 
4.反向速度路径Shmoo(Reverse Speedpath Shmoo):显示漏电导致的故障,尤其是在高电压下。

 

5.地板Shmoo(Floor Shmoo):显示高频率下工作的情况,常见于漏电问题。

 

6.手指Shmoo(Finger Shmoo):显示感应耦合和电容耦合的问题。

 

Shmoo Plot的应用

1. 问题定位:Shmoo Plot可以帮助工程师快速找到故障原因,节省调试时间。

2. 优化设计:通过分析Shmoo Plot,工程师可以调整设计参数,确保芯片在各种条件下都能稳定工作。

3. 质量控制:在生产过程中,Shmoo Plot可以帮助检测不合格的芯片,确保产品质量。

Shmoo图在ATE测试中引用广泛,ATE工程师在不同工艺条件和不同电压和频率组合下,测试DFT工程提供的pattern。

 

如何使用Shmoo Plot进行调试

1. 扫描不同参数:在不同电压和频率下运行测试,记录通过和失败的区域。
2. 分析图表:观察图表中失败区域的形状和位置,确定可能的故障类型和原因。
3. 调整设计或工艺:根据分析结果,调整芯片设计或制造工艺,改善性能。

Shmoo Plot的限制

1.测试 时间:长时间测试可能导致设备内部加热,从而影响结果的准确性。
2. 数据偏移:为避免数据偏移,可以在正式测试前对设备进行预热。

结论

Shmoo Plot是芯片测试中不可或缺的工具。它不仅帮助工程师快速定位问题,还能优化设计,提高产品质量。尽管存在一些限制,通过合理的测试策略,这些问题都可以得到有效解决。
通过这篇文章,希望大家对Shmoo Plot有了更深入的了解。下次再遇到芯片测试的问题,不妨想想我们的老朋友Shmoo Plot,它或许能给你带来意想不到的帮助!
 
顶一下
(0)
0%
踩一下
(0)
0%
------分隔线----------------------------
发表评论
请自觉遵守互联网相关的政策法规,严禁发布色情、暴力、反动的言论。
评价:
用户名: 验证码: 点击我更换图片